CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 键盘 扫描

搜索资源列表

  1. 键盘控制

    0下载:
  2. C8051单片机与键盘相连,扫描键盘,有键按下、释放或者按住,C8051单片机查询键盘矩阵寻找对应唯一的键值,判断是被键按下的键,再到第二套扫描码中找出该键所对应的扫描码,通过C8051单片机模拟的PS/2通信协议与计算机进行通信,发送按键所对应的扫描码。
  3. 所属分类:嵌入式/单片机编程

  1. lpc2368键盘扫描程序

    0下载:
  2. 按键扫描程序,按键功能可以根据自己需要改变,keil工程
  3. 所属分类:源码下载

    • 发布日期:2012-04-14
    • 文件大小:234931
    • 提供者:fortunecjs
  1. keyinterrupt

    0下载:
  2. msp430 中断方式4*4矩阵键盘扫描 结果有led显示-msp430 interrupt 4* 4 matrix keyboard scanning results led display
  3. 所属分类:SCM

    • 发布日期:2017-04-02
    • 文件大小:16157
    • 提供者:将军
  1. keyscaner

    1下载:
  2. 自己设计的矩阵键盘扫描程序,实现5X4键盘扫描,带有去抖和中断功能。-Their own design matrix keyboard scanner, to achieve 5X4 keypad scanning, to shaking and interrupt functions with.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2017-04-12
    • 文件大小:1802
    • 提供者:杨明
  1. AT89S52

    0下载:
  2. 一个基于at89s52单片机的完整程序,包括步进电机驱动,基于SED1520的122*64液晶显示,键盘扫描的完整程序,我运行过了-At89s52 based on a complete single-chip process, including the stepper motor driver, based on the SED1520 122* 64 LCD display, full keyboard scanning process, I had to run
  3. 所属分类:SCM

    • 发布日期:2017-03-24
    • 文件大小:41359
    • 提供者:Huang Keqiang
  1. jianpan

    0下载:
  2. 用FPGA单片机软核实现键盘扫描,键盘为4X4矩阵键盘,输入相应键值,用数码管显示-keyboard
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-17
    • 文件大小:5071744
    • 提供者:
  1. 22

    0下载:
  2. 基于51单片机秒表的程序设计 1.设计目的: (1)利用单片机定时器中断和定时器计数方式实现秒、分定时。 (2)通过LED显示程序的调整,熟悉8155与8051,8155与LED的接口技术,熟悉LED动态显示的控制过程。 (3)通过键盘程序的调整,熟悉8155与矩阵式键盘的接口技术,熟悉键盘扫描原理。 (4)通过阅读和调试简易秒表整体程序,学会如何编制含LED动态显示、键盘扫描和定时器中断等多种功能的综合程序,初步体会大型程序的编制和调试技巧。 -Based on 51
  3. 所属分类:assembly language

    • 发布日期:2017-03-21
    • 文件大小:32833
    • 提供者:
  1. keyscan

    0下载:
  2. 用C语言编写的51单片机键盘扫描程序,整个工程文件都包含在里面-Using C language for 51 single-chip microcomputer keyboard scanning procedures, the project documents are included in the inside
  3. 所属分类:SCM

    • 发布日期:2017-03-26
    • 文件大小:3130
    • 提供者:duyong
  1. keyboard

    0下载:
  2. 基于S3C2410A矩阵键盘扫描程序,GPIO及EINT-Based on S3C2410A matrix keyboard scanner, GPIO and EINT
  3. 所属分类:Communication

    • 发布日期:2017-03-26
    • 文件大小:1723
    • 提供者:aaron
  1. PS2Key

    0下载:
  2. 用单片机控制键盘的程序,利用中断获得键盘扫描码并转换为ASCII码,在1602液晶上显示字符。C语言编写,KEIL环境下编译,键盘驱动写得相当好。-Single-chip microcomputer to control the keyboard with the procedure, the use of interrupted access to the keyboard scan code and converted to ASCII code, in the 1602 character
  3. 所属分类:Other Embeded program

    • 发布日期:2017-04-02
    • 文件大小:23470
    • 提供者:目前源
  1. alarm

    0下载:
  2. 十六路无线报警器 源代码,有很复杂的功能 如LCD显示时间,状态,键盘扫描,红外线控制中断等等 本源码对于有单片机编程基础的很有用处 比如你单片机有一定的基础了,想做一个简单的系统试试,那下载这个参考是再合适不过了 这个相当于一个小的系统-16 Wireless Road, alarm source code, there are very complicated functions such as LCD display time, status, keyboard sca
  3. 所属分类:SCM

    • 发布日期:2017-03-22
    • 文件大小:42898
    • 提供者:何强
  1. saomiao

    0下载:
  2. 纯c实现键盘码扫描 纯c实现键盘码扫描-Net c realization of pure c keyboard scan code to achieve the keyboard scan code
  3. 所属分类:MultiLanguage

    • 发布日期:2017-03-30
    • 文件大小:1662
    • 提供者:black0592
  1. 4x4_saomiao

    0下载:
  2. 51单片机4x4键盘扫描,基于状态机风格,使用逐行扫描法-51 single-chip 4x4 keypad scan, based on state machine style, the use of progressive-scan method
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:1371
    • 提供者:智豪
  1. cymkcx

    0下载:
  2. 集成了串行通信、单片机直接驱动液晶显示、电机控制、键盘扫描程序、交通灯等几十个51单片机的常用单片机开发程序模块,供大家共享。-Integrated serial communications, direct-drive single-chip liquid crystal display, motor control, keyboard scanning process, dozens of traffic lights, etc. 51 SCM procedures commonly use
  3. 所属分类:SCM

    • 发布日期:2017-04-27
    • 文件大小:389128
    • 提供者:zhaox
  1. 44keysscan

    0下载:
  2. AVR单片机,mega16的键盘扫描程序,内附仿真电路等。-AVR Single Chip, mega16 keyboard scanning procedures, such as enclosing the circuit simulation.
  3. 所属分类:Embeded-SCM Develop

    • 发布日期:2017-04-29
    • 文件大小:60458
    • 提供者:MQS
  1. lesson5

    0下载:
  2. avr单片机矩阵键盘扫描程序。开发环境为iccavr。协助Proteus软件仿真。-Single-chip avr keyboard matrix scanner. Development environment for iccavr. To assist the Proteus software simulation.
  3. 所属分类:SCM

    • 发布日期:2017-04-03
    • 文件大小:667
    • 提供者:jackqin
  1. juzheng

    0下载:
  2. 8051单片机矩阵键盘扫描程序(包括键盘的消抖和数码管的显示)-8051 keyboard matrix scanning process (including the elimination Buffeting keyboard and digital display control)
  3. 所属分类:SCM

    • 发布日期:2017-04-17
    • 文件大小:11878
    • 提供者:zqw
  1. th4_keyb

    0下载:
  2. 键盘程序。主要实现按一个键就在数码管上显示相应的数。本程序中,数码管是带地址的! 主要子程序是键盘扫描程序! 下面英文用工具译的!用的软件是keil-Keyboard procedures. The main achievement of the press of a button on the control in the digital display the corresponding number. This procedure, the digital control is i
  3. 所属分类:SCM

    • 发布日期:2017-04-12
    • 文件大小:854
    • 提供者:tanghao
  1. key16

    0下载:
  2. AT89S51单片机最小系统4*4行列式键盘扫描与对应显示源程序-AT89S51 smallest single-chip system determinant 4* 4 keyboard scanning and display the corresponding source
  3. 所属分类:SCM

    • 发布日期:2017-04-11
    • 文件大小:676
    • 提供者:shg
  1. key_scan

    0下载:
  2. verilog 键盘扫描,数码管显示程序,没有加消抖-verilog keyboard scanning, digital tube display program, there is no increase in consumer Buffeting
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-07
    • 文件大小:953
    • 提供者:robin
« 1 2 3 4 56 7 8 9 10 ... 50 »
搜珍网 www.dssz.com